Advanced Memory Solutions | Our Solutions | Lam Research
MyLam

Advanced Memory Solutions

advance memory

Memory cells – the chip components that store electronic data – include short-term volatile (such as DRAM) and long-term non-volatile (such as flash) storage types. DRAM is the mainstay for “working” (active) memory, while flash memory is used to store large amounts of data in a compact form.

To increase device density for more storage capacity, DRAM features continue to shrink, and NAND flash has moved to 3D architectures, which raise additional processing challenges. For example, the numerous layers in 3D NAND are vulnerable to stress, and any imperfections in the high aspect-ratio channels can create electrical shorts and interference. Production of newer memory types that bridge the gap between active and storage classes is also difficult due to the use of novel, hard-to-process materials. As a result, exceptional process control, flexibility, and productivity are needed.

By leading in innovation, Lam ensures our memory solutions meet the evolving demands of technology. One of the areas where we are innovating to meet the surge in demand for AI, VR, AR, and electric vehicles is our High Bandwidth Memory (HBM) technology. HBM embodies the future of high-performance computing with its 3D stacking and cutting-edge packaging, promising unparalleled performance and efficiency.

Facing the intricate challenges of advanced memory production, like hybrid bonding on-wafer performance and yield management, our expertise in micro-bumps and through-silicon vias (TSVs) equips us to deliver exceptional process control and productivity.


Advanced Memory

Our Solutions

ALTUS Product Family

Atomic Layer Deposition (ALD) Chemical Vapor Deposition (CVD)

Combining CVD and ALD technologies, these market-leading systems deposit highly conformal metal films for advanced tungsten metallization applications.

Coronus Product Family

Plasma Bevel Etch and Deposition

Coronus systems focus on the bevel edge to enhance overall yield. Semiconductor processing can cause residues and roughness to accumulate along the wafer edge where they may flake off, drift to other areas, and create defects that cause a device to fail. Coronus etch products remove bevel residues and Coronus deposition protect the wafer bevel from damage.

Coventor Product Family

Plasma Modeling Semiconductor Process Modeling

Our semiconductor process modeling software (SEMulator3D) and plasma modeling software (OverViz) perform predictive modeling of etch, deposition, plasma & other processes, to identify problems prior to fabrication.

EOS Product Family

Wet Clean

Our advanced wet clean products deliver exceptionally low on-wafer defectivity at high throughput for progressively demanding applications.

Flex Product Family

Atomic Layer Etch (ALE) Reactive Ion Etch (RIE)

Our dielectric etch systems offer application-focused capabilities for creating a wide range of challenging structures in advanced devices.

GAMMA Product Family

Dry Strip

These products provide the process flexibility needed to address a wide range of critical photoresist strip applications.

Kallisto Product Family

Electrochemical Deposition (ECD)

An advanced vertical processing platform for wet chemical treatment of substrates from 300x300mm up to Gen 5.1 (1100 x 1300mm) tailored to the needs of semi industry.

Kiyo Product Family

Reactive Ion Etch (RIE)

These market-leading conductor etch products deliver the high-performance precision and control at high productivity needed for critical device features.

Metior Product Family

Mass Metrology

Our mass metrology systems deliver sub-milligram measurement capability for advanced process monitoring and control of three-dimensional device structures.

OverViz

Plasma Modeling

OverViz™ is an industrial simulation software platform for high-fidelity modeling of plasma discharges.

Phoenix Product Family

Electrochemical Deposition (ECD) PR-Development PR-Strip Wet Clean/Strip/Etch

Phoenix offers a fully-automated high volume panel processing for 510x515mm substrates.

Reliant Deposition Products

Chemical Vapor Deposition (CVD) High-Density Plasma Chemical Vapor Deposition (HDP-CVD) Plasma-Enhanced Chemical Vapor Deposition (PECVD) Pulsed Laser Deposition (PLD) Reliant Systems

Our Reliant deposition products enable roadmaps for Specialty Technologies and extend the productive life of fabs.

Reliant Etch Products

Deep Reactive Ion Etch (DRIE) Reactive Ion Etch (RIE) Reliant Systems

Our Reliant etch products enable roadmaps for Specialty Technologies and extend the productive life of fabs.

SABRE Product Family

Electrochemical Deposition (ECD)

This product family offers precision metal plating for copper damascene manufacturing on the industry’s productivity-leading ECD platform.

Selective Etch Product Family

Selective Etch

Breakthrough portfolio delivers isotropic material removal with angstrom-scale precision and ultra-high selectivity capabilities for 3D architectures and advanced logic and foundry applications.

SEMulator3D

Semiconductor Process Modeling

SEMulator3D® is a semiconductor process modeling platform that offers wide ranging technology development capabilities.

Sense.i Product Family

Atomic Layer Etch (ALE) Deep Reactive Ion Etch (DRIE)

Our latest etch platform offers unparalleled system intelligence in a compact, high-density architecture to deliver process performance at the highest productivity.

SPEED Product Family

High-Density Plasma Chemical Vapor Deposition (HDP-CVD)

These dielectric deposition products provide complete gapfill of high aspect ratio spaces with industry-leading throughput and reliability.

Striker Product Family

Atomic Layer Deposition (ALD)

Using advanced ALD technology, these products deliver dielectric films with exceptional control for critical processes in advanced devices with nanoscale features.

Syndion Product Family

Deep Reactive Ion Etch (DRIE) Reactive Ion Etch (RIE)

For deep etch applications, this product family provides the exceptional across-wafer uniformity control needed for critical high aspect ratio features.

Triton Product Family

Electrochemical Deposition (ECD) Wet Clean/Strip/Etch

The Triton platform is a versatile and modular solution for single wafer plating and wet processing.

Vantex Product Family

Reactive Ion Etch (RIE)

Designed for the Sense.i platform, Vantex redefines high aspect ratio etching with innovations in technology and Equipment Intelligence.

VECTOR Product Family

Plasma-Enhanced Chemical Vapor Deposition (PECVD)

Our PECVD product family provides precise dielectric film deposition at high productivity for a wide range of device applications.

Versys Metal Product Family

Reactive Ion Etch (RIE)

These metal etch products provide excellent process control at high-productivity for electrical connection and metal hardmask applications.

Related Blog Posts

  • Tech Brief: ABCs of New Memory

    APRIL 16, 2018

    From PCRAM and MRAM to RRAM and more, there’s a whole new alphabet soup of memory technologies making their way to the fab. Fueling this development are technology advances in gaming and mobile products and the growth of cloud computing – important applications that are stretching the capabilities of today’s mainstream memory technologies.

  • ALD Tungsten Solves Capacity Challenges in 3D NAND Device Manufacturing

    JANUARY 22, 2019

    Our increasingly connected and ever “smarter” world generates increasing amounts of data, putting pressure on manufacturers who face new technical challenges in delivering the increasing capacity required for processing and storage. The ALD Tungsten process is helping 3D NAND manufacturers overcome the technical challenges of producing memory chips with higher storage capacity.

circle-arrow2circle-arrow2facebookgooglehandshake2health2linkedinmenupdfplant2searchtwitteryoutube